A
anoop12
Guest
Hej Alle,
Jeg har skrevet koden til dividere med 2 tæller.Jeg er nødt til at ændre det til dividere med
4 counter.
Er der nogen ved, hvordan man kan ændre det?
Anden måde?
Tak
Her er koden
---------------------------------------
library IEEE;
brug ieee.std_logic_1164.all;
enhed divide_by_2 er
port (
clk_i: i std_logic;
reset: i std_logic;
clk_div2: out std_logic
);
ende divide_by_2;
arkitektur RTL af divide_by_2 er
signal q: std_logic;
begynd
proces (clk_i, reset)
begynd
if (reset = '0 ') then
q <= '0 ';
elsif (clk_i'event og clk_i = '1 ') then
q <= ikke (q);
end if;
end process;
clk_div2 <= q;
ende RTL;
-----------------------------------------
Jeg har skrevet koden til dividere med 2 tæller.Jeg er nødt til at ændre det til dividere med
4 counter.
Er der nogen ved, hvordan man kan ændre det?
Anden måde?
Tak
Her er koden
---------------------------------------
library IEEE;
brug ieee.std_logic_1164.all;
enhed divide_by_2 er
port (
clk_i: i std_logic;
reset: i std_logic;
clk_div2: out std_logic
);
ende divide_by_2;
arkitektur RTL af divide_by_2 er
signal q: std_logic;
begynd
proces (clk_i, reset)
begynd
if (reset = '0 ') then
q <= '0 ';
elsif (clk_i'event og clk_i = '1 ') then
q <= ikke (q);
end if;
end process;
clk_div2 <= q;
ende RTL;
-----------------------------------------