A
amburose
Guest
Jeg har problemer når jeg intialize værdien i virksomheden del ...
syntese og simulation er rigtigt ... men realtid Jeg fik andet resultat fra simulation ....
library IEEE;
brug IEEE.STD_LOGIC_1164.ALL;
brug IEEE.STD_LOGIC_ARITH.ALL;
brug IEEE.STD_LOGIC_UNSIGNED.ALL;enhed sidste er
Port (a: i std_logic_vector (31 downto 0): = x "FE3A3AB2";
k: i std_logic_vector (31 downto 0): = x "00112233";
CLK: i std_logic;
RST: i std_logic;
q: i std_logic_vector (1 downto 0);
d: out std_logic_vector (7 downto 0));
udgangen sidste;
architecture Behavioral sidste er
signal C, D1: std_logic_vector (31 downto 0): = x "00000000";
signal count: heltal mellem 0 til 255: = 0;
signal da: std_logic;
begynd
processen (A, K, C, D1, CLK, RST)
begynd
if (RST ='0 ')
og derefter
d <=
X "00";
da <='0 ';
elsif (CLK ='1 'og clk'event)
og derefter
d1 <= ikke (a xnor k);
c (31) <= d1 (31);
loop1: for jeg i 30 downto 0 sløjfe
c (i) <= c (i 1) XOR d1 (i);
udgangen loop loop1;
tilfælde q er
når "00" => d <= c (31 downto 24);
når "01" => d <= c (23 downto 16);
når "10" => d <= c (15 downto 8);
når "11" => d <= c (7 downto 0);
når andre => d <=
X "00";
ende sag;
udgangen, hvis;ende proces;udgangen Behavioral;
på samme måde, som jeg satte værdi inden for arkitektur .. Jeg fik perfekt resultat
dvs ...
d1 <= ikke (x "FE3A3AB2" xnor x "00112233"); i stedet for d1 <= ikke (a xnor k);im benytter xilinx projekt navigator 6.3i ...
er det software problem ........ eller hvad .....
plz sin påtrængende ....
Tak endnu en gang høre fra u. ...
syntese og simulation er rigtigt ... men realtid Jeg fik andet resultat fra simulation ....
library IEEE;
brug IEEE.STD_LOGIC_1164.ALL;
brug IEEE.STD_LOGIC_ARITH.ALL;
brug IEEE.STD_LOGIC_UNSIGNED.ALL;enhed sidste er
Port (a: i std_logic_vector (31 downto 0): = x "FE3A3AB2";
k: i std_logic_vector (31 downto 0): = x "00112233";
CLK: i std_logic;
RST: i std_logic;
q: i std_logic_vector (1 downto 0);
d: out std_logic_vector (7 downto 0));
udgangen sidste;
architecture Behavioral sidste er
signal C, D1: std_logic_vector (31 downto 0): = x "00000000";
signal count: heltal mellem 0 til 255: = 0;
signal da: std_logic;
begynd
processen (A, K, C, D1, CLK, RST)
begynd
if (RST ='0 ')
og derefter
d <=
X "00";
da <='0 ';
elsif (CLK ='1 'og clk'event)
og derefter
d1 <= ikke (a xnor k);
c (31) <= d1 (31);
loop1: for jeg i 30 downto 0 sløjfe
c (i) <= c (i 1) XOR d1 (i);
udgangen loop loop1;
tilfælde q er
når "00" => d <= c (31 downto 24);
når "01" => d <= c (23 downto 16);
når "10" => d <= c (15 downto 8);
når "11" => d <= c (7 downto 0);
når andre => d <=
X "00";
ende sag;
udgangen, hvis;ende proces;udgangen Behavioral;
på samme måde, som jeg satte værdi inden for arkitektur .. Jeg fik perfekt resultat
dvs ...
d1 <= ikke (x "FE3A3AB2" xnor x "00112233"); i stedet for d1 <= ikke (a xnor k);im benytter xilinx projekt navigator 6.3i ...
er det software problem ........ eller hvad .....
plz sin påtrængende ....
Tak endnu en gang høre fra u. ...