H
hm_fa_da
Guest
Kære alle,
Jeg har skrevet en vhdl kode for 16K Bytes Ram til spartanske 3e, Xc3s250e, som har 250K porte,
i efter gennemførelsen af design, i design resumé, siger det:
samlede tilsvarende porte for design er 524.379,
men det giver ikke nogen fejl rapport, at det ikke passer i FPGA, bør det ikke give fejl?
eller er jeg galt?og "samlede tilsvarende porte" har en anden mening, hvis ja, hvad?
og også den siger:
Antallet af blok ram: 8 fra 12, hvad er blok ram?
og min kode er her:
library IEEE;
brug IEEE.STD_LOGIC_1164.ALL;
brug IEEE.STD_LOGIC_ARITH.ALL;
brug IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment følgende biblioteket erklæring, hvis instantiere
---- Enhver Xilinx primitiver i denne kode.
- bibliotek UNISIM;
- brug UNISIM.VComponents.all;
enhed ram er
port (clk: i std_logic;
vi: i std_logic;
a: i std_logic_vector (13 downto 0);
di: i std_logic_vector (7 downto 0);
do: out std_logic_vector (7 downto 0));
ende ram;
architecture Behavioral RAM
type ram_type er array (16383 downto 0) af std_logic_vector (7 downto 0);
signal ram: ram_type;
begynd
proces (CLK)
begynd
if (clk'event og clk = '1 ') then
if (vi = '1 ') then
RAM (conv_integer (a)) <= di;
do <= (andres => 'Z');
anden
do <= RAM (conv_integer (a));
end if;
end if;
end process;
ende Behavioral;Jeg vil være taknemlig du hjælpe mig ...
Thanks & Regards ...
Jeg har skrevet en vhdl kode for 16K Bytes Ram til spartanske 3e, Xc3s250e, som har 250K porte,
i efter gennemførelsen af design, i design resumé, siger det:
samlede tilsvarende porte for design er 524.379,
men det giver ikke nogen fejl rapport, at det ikke passer i FPGA, bør det ikke give fejl?
eller er jeg galt?og "samlede tilsvarende porte" har en anden mening, hvis ja, hvad?
og også den siger:
Antallet af blok ram: 8 fra 12, hvad er blok ram?
og min kode er her:
library IEEE;
brug IEEE.STD_LOGIC_1164.ALL;
brug IEEE.STD_LOGIC_ARITH.ALL;
brug IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment følgende biblioteket erklæring, hvis instantiere
---- Enhver Xilinx primitiver i denne kode.
- bibliotek UNISIM;
- brug UNISIM.VComponents.all;
enhed ram er
port (clk: i std_logic;
vi: i std_logic;
a: i std_logic_vector (13 downto 0);
di: i std_logic_vector (7 downto 0);
do: out std_logic_vector (7 downto 0));
ende ram;
architecture Behavioral RAM
type ram_type er array (16383 downto 0) af std_logic_vector (7 downto 0);
signal ram: ram_type;
begynd
proces (CLK)
begynd
if (clk'event og clk = '1 ') then
if (vi = '1 ') then
RAM (conv_integer (a)) <= di;
do <= (andres => 'Z');
anden
do <= RAM (conv_integer (a));
end if;
end if;
end process;
ende Behavioral;Jeg vil være taknemlig du hjælpe mig ...
Thanks & Regards ...