P
pjyc
Guest
Hi there. Jeg har 80MHz uret på mit system. og vi har brug for at få 26.666Mhz fra 80MHz. (80MHz / 3 = 26.666Mhz) Nedenfor kildekoden er den del af 26.6Mhz ur generater. Vi har fået godt resultat ved simulator. men rigtige system var ikke godt. Er der nogen, der kan foreslå anyting at gøre ved det? tak.
Code:
bibliotek IEEE, brug ieee.std_logic_1164.all, brug ieee.std_logic_unsigned.all; enhed clk26M er port (CLK: i std_logic; outclk: buffer std_logic); slutningen clk26M, arkitektur p1 af clk26M er signal tæller: std_logic_vector (2 downto 0); signal div2: std_logic; signal div3: std_logic; signal dlydiv3: std_logic; begynde - Opdelt 2 i processen (CLK) begynder if (clk'event og CLK = '1 '), så div2